P
pjyc
Guest
HI THERE.
Saya telah 80Mhz jam di sistem saya.
dan kita perlu mendapatkan 26.666Mhz dari 80Mhz.(80Mhz / 3 = 26.666Mhz)
Bawah kode sumber adalah bagian dari 26.6Mhz jam generater.
Kami punya hasil yang baik oleh simulator.tapi sistem sebenarnya tidak baik.
Apakah ada orang yang dapat menyarankan anyting untuk melakukan hal itu?
terima kasih.
Kode:
library IEEE;
menggunakan ieee.std_logic_1164.all;
menggunakan ieee.std_logic_unsigned.all;clk26M entitas adalah
port (CLK: di std_logic;
outclk: std_logic buffer);
clk26M akhir;arsitektur p1 dari clk26M adalah
sinyal count: std_logic_vector (2 downto 0);
sinyal div2: std_logic;
sinyal div3: std_logic;
sinyal dlydiv3: std_logic;mulai
- Dibagi 2
proses (CLK)
mulai
if (clk'event dan CLK = '1 ') maka
div2 <= tidak (div2);
end if;
proses akhir;- Dibagi 3
proses (CLK)
mulai
if (clk'event dan CLK = '1 ') maka
if (count <2) kemudian
count <= count '1 ';
lain
div3 <= tidak (div3);
count <= (orang lain => '0 ');
end if;
end if;
proses akhir;- 1,5 langkah Delay
proses (CLK)
mulai
if (clk'event dan CLK = '0 ') kemudian
if ((div2 xor div3) = '1 ') maka
dlydiv3 <= tidak (dlydiv3);
end if;
end if;
proses akhir;outclk <= div3 xor dlydiv3;akhir p1;
Saya telah 80Mhz jam di sistem saya.
dan kita perlu mendapatkan 26.666Mhz dari 80Mhz.(80Mhz / 3 = 26.666Mhz)
Bawah kode sumber adalah bagian dari 26.6Mhz jam generater.
Kami punya hasil yang baik oleh simulator.tapi sistem sebenarnya tidak baik.
Apakah ada orang yang dapat menyarankan anyting untuk melakukan hal itu?
terima kasih.
Kode:
library IEEE;
menggunakan ieee.std_logic_1164.all;
menggunakan ieee.std_logic_unsigned.all;clk26M entitas adalah
port (CLK: di std_logic;
outclk: std_logic buffer);
clk26M akhir;arsitektur p1 dari clk26M adalah
sinyal count: std_logic_vector (2 downto 0);
sinyal div2: std_logic;
sinyal div3: std_logic;
sinyal dlydiv3: std_logic;mulai
- Dibagi 2
proses (CLK)
mulai
if (clk'event dan CLK = '1 ') maka
div2 <= tidak (div2);
end if;
proses akhir;- Dibagi 3
proses (CLK)
mulai
if (clk'event dan CLK = '1 ') maka
if (count <2) kemudian
count <= count '1 ';
lain
div3 <= tidak (div3);
count <= (orang lain => '0 ');
end if;
end if;
proses akhir;- 1,5 langkah Delay
proses (CLK)
mulai
if (clk'event dan CLK = '0 ') kemudian
if ((div2 xor div3) = '1 ') maka
dlydiv3 <= tidak (dlydiv3);
end if;
end if;
proses akhir;outclk <= div3 xor dlydiv3;akhir p1;